電壓優化

4:52

新思科技研發總監Henry Sheng博士,分享「新思科技Fusion Design平台」如何藉由全流程(full-flow)電壓優化與收斂方法,提供最佳的效能功耗比,以滿足半導體產業最嚴苛的需求。

檢視更多
檢視更少

分享此視訊

嵌入